Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

#技術職

Veriwell 2.8.6

Verification Engineerの戯言 オープンソースのVerilog HDL SimulatorであるVeriwellが久しぶりに更新されました。 前回が2005.10.03で、今回は2008.05.27なので、2年半. 検証、Verification、Verilog HDL P.S 今日、お台場に行ってきました。夏に行ったの…

OVMとVMMの違い:transactorへのvirtual interfaceの渡し方

Verification Engineerの戯言 VMMでは、transactorへのvirtual interfaceの渡し方がRule 4-108で次のように定義されています。 Physical interfaces shall be specified using a virtual modport interface as an argument to the transactor contstructor. …

Web Seminor : Verification Methodology in System-to-Silicon Process

Verification Engineerの戯言 Verification Methodology in System-to-Silicon Processでは、JanickさんがVMMを熱く語ってくれます。 1時間17分ものです。 VMM Applicationについても簡単ではありますが、説明してくれます。 検証、Verification、e、SystemV…

VCS Verification Library

Verification Engineerの戯言 VCS Verification Libraryは、VMMだけでなく、RVMにも対応して検証ライブラリです。 VMMはRVMをベースにSystemVerilog用にしたので当たり前と言えばそうですが、 VCSの優位はこのVIPです。なんと言ってもVIPが多種多様にあると…

"Are We There Yet?"

Verification Engineerの戯言 昨日も書きましたが、IBMの"Are We There Yet?"の論文(PDF)が公開されています。 どうやら、SNUG 2008 San Joseで発表した内容のようで、 2nd Place - Best Paperになったようです。 検証、Verification、SystemVerilog、VMM、V…

VMM User Forum Lunch Eventのビデオ

Verification Engineerの戯言 VMM User Forum Lunch Eventのビデオが公開されています。 ARM, Ltd. Need for a Low Power Verification Methodology Renesas Technology Corporation Low Power Verification User Experience NVIDIA Engineering the APX2500…

ModelSim PE 6.4でのTransaction Recording

Verification Engineerの戯言 ModelSim PE Student Editionについては、2008/3/26に書きましたが、 ModelSim PE 6.4リリースに伴い、サイトも更新されました。 この中では、各種ドキュメントも公開されています。 SystemVerilog Transaction Recordingでも書…

Verify2008 Japan

Verification Engineerの戯言 2008.9.12(金)、ベルサール九段でVerify2008 Japanが開催されます。 ベンダー・セッションの他に、3つの特別講演があります。 検証、Verifcation

Sisterのその後

Verification Engineerの戯言 2007年4月9日にSisterでSister(SystemC-Verilog HDL動作合成)を紹介しましたが、 現在、SisterはKT Design Systemsという会社でRovsterとして商品化されています。 Rovesterの日本語マニュアルも公開しています。 Sisterのソー…

SystemVerilog Transaction Recording

Verification Engineerの戯言 Verilog HDLの対するTransaction Recordingについては、Verilog HDL Transaction Recordingに書きましたが、 今回はSystemVerilogのTransation Recordingです。 Mentorが提唱するTransaction Recording, Modeling and Extension…

Verilog HDL Transaction Recording

Verification Engineerの戯言 CadenceがIEEE Verilog BTFにVerilog HDLに対するTransaction Recording機能をPLIを使って提案したものがDraft Standard for Verilog Transaction Recording Extensionsにあります。 Copyrightが2003年になっているので、 まだ…

ESNUG 474

Verification Engineerの戯言 ESNUG 474では、ケイデンスがメンターを買収する件に対しての調査結果です。 81%がNo. のようです。 あくまでも全体の意見ではないのですが、81%にもなると、全体としてもやっぱり、Noなのでしょうか? 匿名ではありますが、Men…

MentorのUnified Coverage Database (UCDB)

Verification Engineerの戯言 MentorがQuesta等で使っているUnified Coverage Database (UCDB)をUnified Coverage Database Interoperability (UCIS) Technical Subcommittee(米Accelleraのカバレッジ標準化活動)に寄贈しました。 Mentorだけでなく、Synopsy…

動作合成再び、そして、検証は?

Verification Engineerの戯言 検証とは直接関係ありませんが、7/15にケイデンスがC to Siliconの発表後、DA SHOW/CDN Live! Japan 2008でのプレゼンテーションおよび事例発表がありました。 EDA Onlineには、ルネサス,米Cadenceの動作合成の適用結果を発表…

設計品質&検証技法ワークショップ2008

Verification Engineerの戯言 2008年9月19日(金)にCQ出版社主催の設計品質&検証技法ワークショップ2008がベルサール八重洲で行われます。 今回の3つのトラックは、 ・ソフトウェア・トラック : 組み込みソフトウェアを対象としたテスト技法 ・ハードウェア…

eInfochipsのOVM対応VIP

Verification Engineerの戯言 SystemVerilog Verification Methodologyで一番重要なVIP。 OVM対応のVIPとして、eInfochips’ OVM Compliant IP Portfolioがあるようです。 ・AMBA AHB ・PCI ・GBE ・SPI 4.2 ・I2C ・HDMI ・PCI ・SDIO OVM Readyというのはど…

Questaで2つのVMM

Verification Engineerの戯言 昨日、Mentorが正式にVMMをサポートしたことを書きましたが、 それより前に、CVCのBagathさんがQuestaに対応するソースコードをフォーラムにアップデートしました。 また、vmm_channelを使った例題もアップしました。 この例題…

MentorがVMMを正式サポート

Verification Engineerの戯言 MentorがVMMを正式にサポートしました。(まだ、内容まではチェックしていませんが、) Mentorは、 VM、OVM、VMMと3つのSystemVerilog Verification Methodologyをサポートすることになっています。 AVMは、OVMに移行すると考える…

India SystemC User Group (ISCUG) Meeting 2008

Verification Engineerの戯言 2008年5月9日に行われたIndia SystemC User Group (ISCUG) Meeting 2008の資料が公開されています。 資料は、ここ. ユーロ、北米、日本、ブラジル、インド、次は中国か? 検証、Verification、SystemC

EDA EXPRESSで、このブログが紹介されることになりました.

Verification Engineerの戯言 本日(2008/7/22)からEDA EXPRESSのトップページで、このブログが紹介されることになりました. 3大EDA関連サイトのひとつであるEDA EXPRESSのトップページを飾れるのは、たいへん光栄なことです。 今後とも、よろしくお願いしま…

C-to-Silicon Compiler

Verification Engineerの戯言 2008年7月14日に発表されたケイデンスのC-to-Silicon Compiler。 先週のDA SHOW/CDNLive! Japan 2008でも説明がありました. 私は18日に行ったので詳細は知りません。 でも、18日に行ったときにトイレでFisterさん(Cadence社長)…

“The e Hardware Verification Language”の日本語訳ブック

Verification Engineerの戯言 日本ケイデンスのDA SHOW/CDNLive! Japan 2008のFunctional Verification トラックで The e Hardware Verification Languageの日本語訳ブックをいただきました。NOT FOR SALEです。 OVMのシーケンスは、実はeからの機能です。 O…

Verificaton Methodologies: Testbench's Tyranny

Verification Engineerの戯言 Verification GuildにアップされたVerificaton Methodologies: Testbench's Tyrannyは、是非チェックしてください。 検証は何のためにやるのか!このことを深く考えると、 Testbench's Tyranny はどうなのだろうか? みなさん、…

RAL、HAL、Application

Verification Engineerの戯言 VMM Centralに、VMMとOVMの違いについての質問があがりました。 VMMのAdavantageにRALがあることであると、コメントされています。RALがあるのなら、HALもあるでしょう! でも、HALってエミュレータなどが無いと使わないのでメ…

Step-by-step Functional Verification with SystemVerilog and OVMを読んで!

Verification Engineerの戯言 この本はアナウンスにあるようにOVM手引き書として使えますが、私はもっと価値のあるものと考えています。 タイトルにあるとおり、with SystemVerilog and OVMということで、SystemVerilogの最低限についてはふれています。 既…

SCDSourceのVirtutechの記事:Why virtual platforms need cycle-accurate models

Verification Engineerの戯言 SCDSourcにCarbonの記事からすぐにVirtutechの記事がアップされました。 Functional and function accurate (FA) modelsとcycle-accurate (CA) modelsは、 用途によって使い分ければいいのはわかるのですが、できれば実際のもの…

DACのDenali Party

Verification Engineerの戯言 一ヶ月も前のことですが、DACで行われたDenali Partyの写真とビデオです。 Denaliも太っ腹です。参加される方々も結構気合い入っています。 日本でやってもここまではいかないでしょうが、もしやったら、私、どんな格好しようか…

REPORT FROM THE FIRST OVM WORLD SUMMIT AT DAC

Verification Engineerの戯言 OVM WorldにDACにて行われたREPORT FROM THE FIRST OVM WORLD SUMMIT AT DACがアップされています。 70名を超える方が参加されたようです。写真が画質はいまいちですが、雰囲気は感じ取れます。 次のメジャーリリース(2.0)の内…

virtual functionで戻り値を指定していないと?

Verification Engineerの戯言 VMMのHAL(Hardware Abstract Layer)のコード(vmm_hw_port.sv)を見ていたら、 vm_hw_in_port、vmm_hw_out_port抽象クラス(virtual class)のis_rdy関数に戻り値が定義されていない? これって、どうなるのだろうか? たぶん?b>ret…

OVM Forum : OVM_ROOT example?

Verification Engineerの戯言 OVM Forumで、ovm_root::run_testについて、質問:what's the difference between run_test and ovm_root::run_test?がありました。 OVM 1.1でovm_rootクラスが導入されたことは、ovm_env::run_testタスクおよびrun_testタスク…